Búsqueda Controlesplc

Cuarta parte Automatizando línea de botellas con simulador Logixpro.



En esta oportunidad hicimos la programación de detección y llenado de botellas pequeñas, utilizando otra instrucción "BSR" (Bit Shift Rigth) para el corrimiento de Bit por derecha de la palabra B3:2, con la ayuda del mismo Switch indexador I:1/6 y el negado de I:1/7 que es cuando no registra botellas grandes, entonces esta palabra de Bit B3:2 se llenó con registros de entrada de botellas pequeñas.

Este programa va tomando mejor forma, aun tiene varios dispositivos electromecánicos que no hemos tomado en cuenta pero en proximos segmentos iremos complementando según hasta donde querramos llegar.
Comparto esto, para todas aquellas personas que comienzan y tienen el interés por dominar esta actividad.


enlace para descargar Logixpro Simulador

2 comentarios:

  1. WENO L PROGRAMA. OIE TIENES LA ULTIMA PARTE DEL CIRCUITO, EN EL VIDEO SALE UNA PARTE NO MAS...

    ResponderEliminar
  2. Claro que si se encuentra unas entradas mas recientes del blog este es el la direccion
    http://controlesplc.blogspot.com/2010/09/quinta-parte-automatizando-linea-de.html

    ResponderEliminar

Gracias por tu comentario...

Utiliza el botón para abrir una forma y Escribe un comentario a mi correo electrónico

Entradas populares